hero-654791312-sized

Ensights

Science that is transforming lives and enabling the future

Contamination Control Versatility

Contamination Control Versatility

Simplify your operations and supply chain with a versatile contamination control strategy.

Case Study: On-Wafer Defect Reduction in Lithography

Overview The rapid increase of semiconductors in cars enables significant safety, connectivity, mobility, and sustainability improvements. As transportation transforms from being driver controlled to software controlled, automakers must look closer at their ability to measure and maintain product reliability throughout the vehicle’s lifetime.

High Purity PFA Tubing Overcomes PVC Pitfalls in Dual Containment Systems

A high purity sub-fab serves as the central nervous system of a semiconductor cleanroom. It houses chemical delivery, purification, recycling, and destruction systems. The sub-fab is where potentially hazardous aqueous chemistries and gases are stored and handled until they are delivered to the cleanroom process equipment located either in the floor above it or the building adjacent to it.

Advanced CMP of Silicon Carbide Supports an Expanding EV Market

The electric vehicle (EV) market is expanding in response to customer demand, with multiple major automotive companies offering lower cost models with longer driving range.

Precision Engineered Techniques for Coating Plasma Chamber Components

Migration from 2D to 3D structures for high-density memory devices changes the nature of etching and deposition processes, especially as the number of layers for 3D NAND integration grows to 96 and beyond, and new process chemistries become commonplace. The greater number of lengthy processing steps and high aspect ratio (HAR) features involved place new demands on all steps of the chip manufacturing process, including etching, deposition, and cleaning equipment. Consistent process stability becomes harder to achieve.

Defending Against Dangerous Electrostatic Discharge (ESD)

Much as a bolt of lightning can strike in one spot and travel, creating a path of destruction in its wake, a single electrostatic discharge can have a similar effect on a semiconductor manufacturer’s bottom line. For advanced-node manufacturers, the risk posed by electrostatic discharge has become amplified by the move to fluoropolymers, a consequence of stainless-steel process tool components failing to meet increased purity requirements.

  • September 22, 2020

Wrapping up the First Ever SEMICON West 2020 Virtual Event

Entegris recently wrapped up an exciting week at the first-ever SEMICON West virtual event. The event provided a great opportunity to connect with the community and gain valuable insight into the future of the industry.

Pump it Up: Photochemical Delivery that Meets the Challenges of 3D Architectures

Photochemicals are playing an increasingly important role in bringing next generation devices to reality. While semiconductor manufacturing has always needed a pure, contamination-free environment, the requirements are tightening even further.

The Fight for Purity in Semiconductor Fabs Begins at the Chemical Manufacturer

To meet increasing sensitivity to contaminants in integrated circuit (IC) manufacturing, semiconductor fabs have begun looking to their chemical suppliers to adopt new contamination control standards that improve quality and assist in reducing wafer defects. Each material has several touch points along the chemical manufacturing, storage, transport, and delivery journey that could add or generate contaminants. Semiconductor fabs seek assurance from their materials suppliers that they will minimize opportunities for contaminants to end up on a wafer (Figure 1).

How to Select the Right Container System for Safe, Clean Chemical Delivery

Ensuring Purity and Safety Purity requirements continue to tighten as the semiconductor manufacturers continue to pursue advanced technology nodes. Of all the industries that rely on a consistent supply of both raw and specialty chemical, the semiconductor industry sets the highest bar.

Filtration Fundamentals II: Membrane DeWetting

Dewetting and non-dewetting are not common terms used every day, yet are vital to the functionality of liquid filters.

2019 End of Year Highlights

Entegris Highlights 2019 2019 was a year of exciting change and significant accomplishments for Entegris including strategic acquisitions, supplier awards, footprint expansions and technology center openings, sustained Five+ Sigma quality levels, hundreds of issued technology patents, and so much more!

    Related Posts